crank watchdog timeout to 4 seconds

This commit is contained in:
Alexandre Ratchov 2015-07-31 17:44:04 +02:00
parent 5edf525314
commit 4063b8217c
1 changed files with 1 additions and 1 deletions

View File

@ -32,7 +32,7 @@
#include "siofile.h"
#include "utils.h"
#define WATCHDOG_USEC 2000000 /* 2 seconds */
#define WATCHDOG_USEC 4000000 /* 4 seconds */
void dev_sio_onmove(void *, int);
void dev_sio_timeout(void *);